From 0c49e2bd3a1d51afd1be678e579451c929a42529 Mon Sep 17 00:00:00 2001 From: Daniel Friesel Date: Fri, 17 Jan 2014 11:23:26 +0100 Subject: add basic tests --- t/in/plan/8000098/140103/20 | 1 + t/in/plan/8000098/140103/21 | 1 + t/in/plan/8000098/140103/22 | 1 + t/in/plan/8000098/140103/23 | 1 + 4 files changed, 4 insertions(+) create mode 100644 t/in/plan/8000098/140103/20 create mode 100644 t/in/plan/8000098/140103/21 create mode 100644 t/in/plan/8000098/140103/22 create mode 100644 t/in/plan/8000098/140103/23 (limited to 't/in/plan/8000098/140103') diff --git a/t/in/plan/8000098/140103/20 b/t/in/plan/8000098/140103/20 new file mode 100644 index 0000000..92ab555 --- /dev/null +++ b/t/in/plan/8000098/140103/20 @@ -0,0 +1 @@ + \ No newline at end of file diff --git a/t/in/plan/8000098/140103/21 b/t/in/plan/8000098/140103/21 new file mode 100644 index 0000000..f629697 --- /dev/null +++ b/t/in/plan/8000098/140103/21 @@ -0,0 +1 @@ + \ No newline at end of file diff --git a/t/in/plan/8000098/140103/22 b/t/in/plan/8000098/140103/22 new file mode 100644 index 0000000..7bd4b8d --- /dev/null +++ b/t/in/plan/8000098/140103/22 @@ -0,0 +1 @@ + \ No newline at end of file diff --git a/t/in/plan/8000098/140103/23 b/t/in/plan/8000098/140103/23 new file mode 100644 index 0000000..b7ccb8f --- /dev/null +++ b/t/in/plan/8000098/140103/23 @@ -0,0 +1 @@ + \ No newline at end of file -- cgit v1.2.3