summaryrefslogtreecommitdiff
path: root/test/scr
diff options
context:
space:
mode:
authorDaniel Friesel <derf@finalrewind.org>2010-10-04 20:24:34 +0200
committerDaniel Friesel <derf@finalrewind.org>2010-10-04 20:24:34 +0200
commitf75aea0e0a57a603203e6334fba4936bc5623a74 (patch)
treef40d58af80871b1b07f532a1f57c4a4791d8c0e7 /test/scr
parent47e9cf3210355a1ccd3c5ad9c9577421d59094c5 (diff)
Tests for keyboard based zooming
Diffstat (limited to 'test/scr')
-rw-r--r--test/scr/feh_lhibin0 -> 13552 bytes
-rw-r--r--test/scr/feh_lhi_ibin0 -> 34338 bytes
-rw-r--r--test/scr/feh_lhi_iibin0 -> 71938 bytes
-rw-r--r--test/scr/feh_lhi_iirbin0 -> 15536 bytes
-rw-r--r--test/scr/feh_lhi_iirrbin0 -> 15431 bytes
-rw-r--r--test/scr/feh_lhi_iirribin0 -> 64012 bytes
-rw-r--r--test/scr/feh_lhi_iirriobin0 -> 72552 bytes
-rw-r--r--test/scr/feh_lhi_obin0 -> 120797 bytes
-rw-r--r--test/scr/feh_lhi_oobin0 -> 131624 bytes
-rw-r--r--test/scr/feh_lhi_ooobin0 -> 141170 bytes
10 files changed, 0 insertions, 0 deletions
diff --git a/test/scr/feh_lhi b/test/scr/feh_lhi
new file mode 100644
index 0000000..f16d150
--- /dev/null
+++ b/test/scr/feh_lhi
Binary files differ
diff --git a/test/scr/feh_lhi_i b/test/scr/feh_lhi_i
new file mode 100644
index 0000000..108dfb6
--- /dev/null
+++ b/test/scr/feh_lhi_i
Binary files differ
diff --git a/test/scr/feh_lhi_ii b/test/scr/feh_lhi_ii
new file mode 100644
index 0000000..1fb695f
--- /dev/null
+++ b/test/scr/feh_lhi_ii
Binary files differ
diff --git a/test/scr/feh_lhi_iir b/test/scr/feh_lhi_iir
new file mode 100644
index 0000000..396ee99
--- /dev/null
+++ b/test/scr/feh_lhi_iir
Binary files differ
diff --git a/test/scr/feh_lhi_iirr b/test/scr/feh_lhi_iirr
new file mode 100644
index 0000000..81748a3
--- /dev/null
+++ b/test/scr/feh_lhi_iirr
Binary files differ
diff --git a/test/scr/feh_lhi_iirri b/test/scr/feh_lhi_iirri
new file mode 100644
index 0000000..43b8fa1
--- /dev/null
+++ b/test/scr/feh_lhi_iirri
Binary files differ
diff --git a/test/scr/feh_lhi_iirrio b/test/scr/feh_lhi_iirrio
new file mode 100644
index 0000000..c9e287b
--- /dev/null
+++ b/test/scr/feh_lhi_iirrio
Binary files differ
diff --git a/test/scr/feh_lhi_o b/test/scr/feh_lhi_o
new file mode 100644
index 0000000..ec48c01
--- /dev/null
+++ b/test/scr/feh_lhi_o
Binary files differ
diff --git a/test/scr/feh_lhi_oo b/test/scr/feh_lhi_oo
new file mode 100644
index 0000000..784d7d7
--- /dev/null
+++ b/test/scr/feh_lhi_oo
Binary files differ
diff --git a/test/scr/feh_lhi_ooo b/test/scr/feh_lhi_ooo
new file mode 100644
index 0000000..ad14384
--- /dev/null
+++ b/test/scr/feh_lhi_ooo
Binary files differ